Welcome![Sign In][Sign Up]
Location:
Search - vending machine fpga

Search list

[OS Developvhdlautosale

Description: 自动售货机VHDL实现 atmel公司的FPGA-vending machine company VHDL atmel FPGA
Platform: | Size: 62214 | Author: 车恒川 | Hits:

[VHDL-FPGA-VerilogVHDL3

Description: 这是一个自动售货机的vhdl源码,曾经是eda比赛的题目,供大家参考。-This is a vending machine in VHDL source code, the game had been sown topic, for your reference.
Platform: | Size: 534528 | Author: | Hits:

[VHDL-FPGA-Verilogcodeofvhdl2006

Description: 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】 - [ Classics design ] the VHDL source code downloads ~ ~ classics the design to include: [ Vending machine ], [ electron clock ], [ traffic light traffic signal system ], [ step of 杩涚數 machine localization control system ], [ direct current machine speed control system ], [ calculator ], [ array LED display control system ] the basic numeral logical design includes: [ Latch ], [ multichannel selector ], [ 涓夋
Platform: | Size: 44032 | Author: senkong | Hits:

[OS Developvhdlautosale

Description: 自动售货机VHDL实现 atmel公司的FPGA-vending machine company VHDL atmel FPGA
Platform: | Size: 61440 | Author: 车恒川 | Hits:

[VHDL-FPGA-Verilog+VHDL

Description: 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
Platform: | Size: 3072 | Author: linhua | Hits:

[VHDL-FPGA-VerilogVHDL-CPLD

Description: 程序-自动售货机 基于CPLD、FPGA的程序-Program- the vending machine based on CPLD, FPGA program
Platform: | Size: 1024 | Author: 孙锁东 | Hits:

[VHDL-FPGA-Verilogsale-machine-

Description: 一个FPGA的自动售货机程序,状态机部分很详细,值得参考-A vending machine program the FPGA, the state machine part of the very detailed and valuable reference
Platform: | Size: 9216 | Author: 边辰 | Hits:

[VHDL-FPGA-Verilogsale22222222

Description: fpga verilog语言写的自动售货机-fpga verilog language written vending machine
Platform: | Size: 403456 | Author: 汤旭 | Hits:

[VHDL-FPGA-Verilogshj

Description: 基于fpga的自动售货机,verilog编写,源码内有详细说明-Fpga-based vending machine, verilog prepared with a detailed description of source
Platform: | Size: 2048 | Author: 郎亚洲 | Hits:

[VHDL-FPGA-Verilogsoda_machine_4seg

Description: soda machine,fpga经典项目,自动贩卖机,通过按键投币,四个七段译码管显示总钱数和找回的钱数-soda machine, fpga classic items, vending machines, coin operated by keys, four seven-segment decoder and display the total amount of money of money back
Platform: | Size: 241664 | Author: huangchuchuan | Hits:

[VHDL-FPGA-VerilogVHDL

Description: FPGA实现自动售货机,自动售货机系统VHDL程序及仿真-FPGA implementation vending machines, vending machine system procedures and VHDL simulation
Platform: | Size: 144384 | Author: sun fujin | Hits:

[VHDL-FPGA-Verilogautoseller

Description: 设计FPGA模块模拟自动售货机的工作过程,功能如下(1)售货机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20 元,50元,100元。乘客可以连续多次投入钱币。(2)顾客可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。(3)顾客选择完商品后,可以选择需要的数量。然后可以继续选择商品及其数量,每次可以选择最多三个商品。然后显示出所需金额。顾客此时可以投币,并且显示已经投币的总币值。当投币值达到或超过所需币值后,售货机出货,并扣除所需金额,并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。-FPGA design module simulates the working process of the vending machine, complete the following functions (1) vending machine has two holes to get the money, one hole for coin, identifying the scope of the coin is 50 cents and $ 1 coins, another is for paper money,recognition range is 1 yuan, 5 yuan, 10 yuan, 20 yuan, 50 yuan, 100 yuan. Passengers can put money more than one chance. (2) Customers can choose 16 species of goods, the price of 1-16 yuan, the customer can enter the product number to achieve selection of goods. That there is a keypad (0-9 keys) to complete, for example ,if you choose number 15, you should input number 1 first, and then input number “5”. (3) Once finished selecting the kind of goods, you can the number you needed. You can then continue to choose the kind and number of goods, and each time can no more than three kinds of products. Then the machine shows the required price. Now customers can put the money into holes , and the screen shows the total curre
Platform: | Size: 3072 | Author: shan | Hits:

[VHDL-FPGA-Verilogauto-sale-machine

Description: 自动售货机程序,适合FPGA初学者,作为参考吧。-Vending program for FPGA beginners, as reference to it.
Platform: | Size: 204800 | Author: 天良 | Hits:

[VHDL-FPGA-Verilogvending_machine

Description: 基于FPGA开发板NEXYS3的自动售货机,并利用VGA原理显示在LCD屏幕,采用键盘进行购买和支付-Based on the FPGA development board NEXYS3 vending machine, and use the principle of VGA display on the LCD screen, using the keyboard to purchase and payment
Platform: | Size: 17965056 | Author: 黄志宇 | Hits:

[Other Embeded programSaleMachine

Description: 使用verilog HDL语言编程的自动售货机程序,是初学者联系的FPGA的简单例程-Use verilog HDL programming language vending machine program, it is a simple routine for beginners to contact the FPGA
Platform: | Size: 483328 | Author: | Hits:

[VHDL-FPGA-VerilogSubway_VHDL

Description: 模拟地铁自动售票机选票、付款、取票、找零等功能,包含软件仿真和硬件响应,可供仿真测试和FPGA验证。-Analog subway ticket vending machine ballots, payment, tickets, give change and other features, including software simulation and hardware response for simulation and FPGA verification test.
Platform: | Size: 6144 | Author: Robinson Lin | Hits:

[VHDL-FPGA-Verilogvending-machine-project

Description: vending machine VHDL FPGA Altera
Platform: | Size: 693248 | Author: Ipan | Hits:

[Embeded-SCM DevelopFPGA自动售货

Description: 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control system. The system can complete the storage of cargo information, process control, coin handling, balance calculation, display and other functions. 4 goods can be managed. The quantity and unit price of each item is entered at initialization and stored in memory. Users can make purchases with coins, keys cargo selection, when sales can be based on user input to determine whether enough money money, enough coin vending according to customer requirements, money is not given prompt and exit; can automatically calculate the balance of money should find, inventory number and display.)
Platform: | Size: 10240 | Author: daolangliu | Hits:

[VHDL-FPGA-Verilog基于FPGA的自动售货机毕业设计

Description: 基于FPGA的自动售货机,采用quartus 2 编写,方便可用(Vending machine based on FPGA)
Platform: | Size: 208896 | Author: 福猪公爵 | Hits:

[Software Engineeringautosell

Description: 基于FPGA的自动售货机,有两种商品,每种都是1.5元,可以投入1元和五角两种货币。(A vending machine based on FPGA,)
Platform: | Size: 1164288 | Author: kanydd | Hits:
« 12 »

CodeBus www.codebus.net